_TOP_MENU

Showing posts with label clock gating. Show all posts
Showing posts with label clock gating. Show all posts

Mar 22, 2024

Table of Contents


Here is the blog site map. Feel free to post your feedback to improve it further. 

CONTENTS

1.Introduction : 

1.1  Career Growth in VLSI Industry

1.2  The Future of Semiconductor 

2. VLSI Topics

2.1  Semiconductor Job Portal - Intern & freshers  

2.2  Digital Design for Beginners and Professionals

2.3  Career Growth in VLSI Industry

2.4  The Future of Semiconductor 

2.6  VLSI Industry Update

2,7  List of Semiconductor Companies

2.8  Top 10 VLSI companies

2.9  VLSI Industry Updates

2.10 Engineering Basics

2.11 Open Sourced FREE CAD/EDA VLSI tools 

2.12 List of top 10 companies in Semiconductor - 2021 

2.13 The best top 20 universities for MS in Digital VLSI in USA

2.14 Solution: Verilog HDL A guide to Digital Design and Synthesis - Samir Palnitkar 

2.15 Question Answer on VLSI Semiconductor 


 3. Digital Design:

3.1  Low Power Design Technique

3.7  UPF Example 

3.23 Type of Adders with Verilog Code

3.24 VHDL operator 

3.25 Asynchronous FIFO with Programmable Depth

3.26 Asic Implementation Design Cycle 

3.27  Comparing AMBA AHB to AXI Bus using System Modeling. 

3.28 Difference between I2C or CAN protocol ? 

3.29  Retention Cells - UPF



4. Semiconductor Interface/Bus Protocols

4.4  SPI

4.7  Microwire IP Interface 

6.18 Verilog code for Synchronous FIFO ( First In First Out  )


10.3 Physical Aware Synthesis 


11. Integrated-Circuit Fabrication


12. AHB-AXI Protocol 


13. PCIe Protocol 


14. Solution  :Samir Palnitkar : A Guide to Digital Design and Synthesis 

15. Place holder5


16. DAA


17. Scripting/Others

17.3  GVIM Help


18. Interview Preparation

18.5 Digital Design Interview Question on PCIe express 

 

19. Academic/ Educational Projects with Micro-Architecture and Verilog code

19.4  Microwire IP  


20. Verification


21. Physical Design

21.2 Physical Design - Common Questions  


22. General Question:

22.1  Logical Question

22.2  APTITUDE Question for Interviews


22B . VLSI Quiz 

22B.1  Quiz1 : Digital Design


23. Non-VLSI Topics:

23.8 How to start a academic project 

23.9  Team Leadership Score check 

23.10 Team Leadership Question/Answer

23.11 Being productive while working from home 


24. Salary Around the Globe

24.1 Norway Salary - Average salary for Senior Software Engineer in Norway with 10+ years experience? 

24.2  Sweden Salary - An Average salary in Sweden for IT professional  


25. Job Opportunities in Norway 

25.1  Norway Salary - Average salary for Senior Software Engineer in Norway with 10+ years experience? 

25.2  Is it hard to get a job in Norway, as a foreigner?

25.3  Living cost in Norway , compared with India

 

26. Finland Opportunities in IT Sector

26.1  Moving to Finland from India , is it worth ?

27. Funny Posts ( non technical )

27.1 40+ Photos That Evoke a Lot of Curious Questions and Can’t Be Explained


Jan 31, 2014

Clock Gating Circuits



why do we will need clock gating in digital design and what are the ways to implement ? 

Clock gating today is an important aspect of design, in general clock gating is used to save power in circuit.  Today's if you talking about any smart device,  the first thing people search is the battery power. A device will not be a good device if it can't go long atleast for a day.

Most of the power in a soc / design is eaten by the analog circuit,  for digital components,  dynamic power only consume when there is transition between levels,  0 to 1 ....or 1 to 0 ..

If a designer able to stop unwanted transition in digital domain  then this will result in saving some power,  but if in the functional mode,  some of the analog circuit can be turn off then this will result in saving a significant amount of power,  in most of the devices,  there are initial calibration / adaptation routine,  some of them required one time only after releasing power on reset releasing,those circuit can be turned off.

Power consumption calculation include dynamic and static power , Static power is depend on the library. During micro-architecture , if power optimization is one of the key then one can design a better architecture to save maximum amount of power during transition. 

One large portion of dynamic power is consumed by clock tree, since clocks will be running all the time if not taken care. Clock gating is one of the method to reduce clock transition. 

Below is one way of implementing clock gating.

One of the popular design is,  put a AND gate and gated the clock by enable / gate signal. If using enable signal then the chances are high you will get a glitch which deasserting enable signal even if it come through a sync flop,  one way to overcome this problem is, use negedge sync flop for enable signal,  this way enable signal will get half clock cycle to get settledown. 

Q. What is clock gating timing checks ? How Setup and Hold timing take care in clock gating ? 
  

To know more in detail about the Low Power Design, follow the link below

Low Power Design 
Clock gating analysis during synthesis