_TOP_MENU

May 1, 2021

Digital Design Interview Question on Synthesis


Key Words: Digtial design interview question on Synthesis

Q. What are the various Design constraints used while performing Synthesis for a design?
Ans: 
1. Create the clocks (frequency, duty-cycle).
2. Define the transition-time requirements for the input-ports
3. Specify the load values for the output ports
4. For the inputs and the output specify the delay values(input delay and ouput delay), which are already consumed by the neighbor chip.
5. Specify the case-setting (in case of a mux) to report the timing to a specific paths.
6. Specify the false-paths in the design
7. Specify the multi-cycle paths in the design.
8. Specify the clock-uncertainty values(w.r.t jitter and the margin values for setup/hold).
19. Specify few Verilog constructs which are not supported by the synthesis tool.

What are the various design changes you do to meet design power targets?
Ans: Design with Multi-VDD designs, Areas which requires high performance, goes with high VDD and areas which needs low-performance are working with low Vdd's, by creating Voltage-islands and making sure that appropriate level-shifters are placed in the cross-voltage domains Designing with Multi-Vt's(threshold voltages), areas which require high performance, goes with low Vt, but takes lot of leakage current, and areas which require low performance with high Vt cells, which has low leakage numbers, by incorporating this design process, we can reduce the leakage power. As in the design , clocks consume more amount of power, placing optimal clock-gating cells, in the design and controlling them by the module enable's gives a lot of power-savings.
Clock Tree
As clock-tree's always switch making sure that most number of clock-buffers are after the clock-gating cells, this reduces the switching there by power-reduction.
DVFS
Incorporating Dynamic Voltage and Frequency scaling (DVFS) concepts based on the application , there by reducing the systems voltage and frequency numbers when the application does not require to meet the performance targets. Ensure the design with IR-Drop analysis and ground-bounce analysis, is with-in the design specification requirement. Place power-switches, so that the leakage power can be reduced. related information.

Q.what is meant by Library Characterizing
Ans: Characterization in terms of delay, power consumption,..

Q what is meant by wireload model
Ans: In the synthesis tool, in order to model the wires we use a concept called as "Wireload models", Now the question is what is wireload models: Wireload models are statistical based on models with respect to fanout. say for a particular technology based on our previous chip experience we have a rough estimate we know if a wire goes for "n" number of fanin then we estimate its delay as say "x" delay units. So a model file is created with the fanout numbers and corresponding estimated delay values. This file is used while performing Synthesis to estimate the delay for Wires, and to estimate the delay for cells, technology specific library model files will be available

Q what are the measures to be taken to design for optimized area
Ans: As silicon real-estate is very costly and saving is directly proportional to the company's revenue generation lot of emphasize is to design which has optimal utilization in the area-front. The steps to reduce area are 
If the path is not timing-critical, then optimize the cells to use the low-drive strength cells so that there will saving in the area. Abut the VDD rows Analyzing the utilization numbers with multiple floor-planning versions which brings up with optimized area targets.

Q what all will you be thinking while performing floorplan
Ans: Study the data-flow graph of the design and place the blocks accordingly, to reducing the weighted sum of area, wire-length. Minimize the usage of blocks other-than square shapes, having notches Place the blocks based on accessibility/connectivity, thereby reducing wire-length. Abut the memory, if the pins are one-sided, there-by area could be reduced. If the memory communicates to the outside world more frequently , then placing at the boundary makes much of a sense. Study the number of pins to be routed, with the minimum metal width allowed , estimate the routability issues. Study the architecture and application , so that the blocks which will be enabled should be scattered, to reduce the power-ground noise.

Q what are the measures in the Design taken for Meeting Signal-integrity targets
Ans: As more and more devices are getting packed, results in more congested areas, and coupling capacitances dominating the wire-capacitance, creates SI violations. Let's see now by what are all the measures we can reduce/solve it.
As clock-tree runs across the whole chip, optimizing the design for SI, is essential route the clock with double-pitch and triple spacing. In-case of SI violation, spacing the signal nets reduces cross-talk impacts.
Shield the nets with power-nets for high frequency signal nets to prevent from SI.
Enable SI aware routing , so that the tool takes care for SI
Ensure SI enabled STA runs, and guarantee the design meeting the SI requirements
Route signals on different layers orthogonal to each other
Minimize the parallel run-length wires, by inserting buffers.

No comments:

Post a Comment